Intel đánh mất ngôi vương trong vòng 10 năm chỉ với một quyết định sai lầm

 Trong khoảng thời gian gần 10 năm trước đây, Intel đã đưa ra một quyết định có tính quyết định đối với tương lai của họ. Vào thời điểm đó, Intel đang dẫn đầu thị trường gia công chip bán dẫn toàn cầu. Tuy nhiên, khi công nghệ mới EUV (in thạch bản cực cực tím) được giới thiệu, cho phép tạo ra các con chip với sức mạnh xử lý cao hơn, nhưng những nhà lãnh đạo của Intel quyết định tiếp tục sử dụng kỹ thuật DUV (Deep UltraViolet) thay vì EUV, do tin rằng EUV còn chưa hoàn thiện và sẽ mất nhiều năm để tối ưu hóa. Quyết định này đã trở thành một sai lầm định mệnh, gây ảnh hưởng đến hiện tại khi Intel đang nỗ lực để bắt kịp các đối thủ đến từ châu Á trong lĩnh vực sản xuất chip xử lý công nghệ cao, đặc biệt là TSMC (Đài Loan)  Samsung Foundry (Hàn Quốc) đã áp dụng kỹ thuật EUV và trở thành các đối thủ cạnh tranh trực tiếp của Intel trên thị trường bán dẫn. Hiện tại, Intel đang cố gắng để bắt kịp, phối hợp cùng với chính phủ Mỹ để đẩy mạnh sản xuất chip xử lý công nghệ cao. Bà Ann Kelleher, giám đốc mảng phát triển công nghệ của Intel, đã thừa nhận rằng việc đưa ra quyết định khác hồi đó có thể đã đưa Intel trở lại vị trí dẫn đầu.

intel-danh-mat-ngoi-vuong-trong-vong-10-nam-chi-voi-mot-quyet-dinh-sai-lam(01)

VÁN BÀI CỦA INTEL VÀ KỲ VỌNG CỦA CHÍNH QUYỀN MỸ

   Kế hoạch được đặt ra cho thấy, cuối năm nay Intel sẽ bắt đầu sản xuất chip xử lý thương mại dựa trên kỹ thuật quang khắc EUV, chậm hơn TSMC đến 4 năm. Tuy nhiên, đây là một bước quan trọng để đưa Intel trở lại cuộc đua. Washington là nơi lo lắng nhất và kỳ vọng Intel sẽ thành công. Chính phủ của Tổng thống Biden đang cân nhắc việc cấp nguồn kinh phí cho Intel để giúp họ, hoặc để giúp Mỹ trở lại vị thế dẫn đầu thế giới về công nghệ bán dẫn.

  Năm ngoái, đạo luật CHIPS đã được thông qua với số tiền đầu tư 52 tỷ USD để hỗ trợ trực tiếp cho việc mở rộng sản xuất chip bán dẫn và kích thích nghiên cứu phát triển sản phẩm. Ngoài số tiền này, trong vòng 8 năm tới, các đơn vị và tập đoàn được hỗ trợ bởi đạo luật CHIPS còn được miễn giảm khoản thuế trị giá 24 tỷ USD. Mục đích chính của đạo luật là đảo ngược xu hướng suy giảm sản lượng chip bán dẫn sản xuất tại Mỹ. Hiện tại, Mỹ chỉ chiếm 12% tổng sản lượng chip bán dẫn toàn cầu, trong khi vào năm 1990, Mỹ chiếm 37% tổng sản lượng chip bán dẫn toàn cầu.

intel-danh-mat-ngoi-vuong-trong-vong-10-nam-chi-voi-mot-quyet-dinh-sai-lam(03)

  Trên đấy là quan điểm vĩ mô. Còn Intel đang đối mặt với nhiều thách thức trong việc cạnh tranh với các đối thủ như TSMC và Samsung Foundry trong lĩnh vực sản xuất chip. Một trong những thách thức lớn nhất của Intel là thị trường đang chuyển biến và nhu cầu sử dụng chip xử lý AI đang tăng cao. Điều này làm đe dọa đến doanh thu của họ từ thị phần máy tính cá nhân và máy chủ doanh nghiệp. Vì vậy, Intel đã lập ra IFS, Intel Foundry Services, để trở thành một đơn vị gia công dịch vụ cho các đối tác, thay đổi lớn nhất trong quá trình kinh doanh của họ kể từ khi họ quyết định từ bỏ phát triển chip nhớ, chỉ phát triển và sản xuất chip vi xử lý. Tuy nhiên, Intel đang gặp khó khăn với những lỗ hổng tài chính xuất hiện đúng thời điểm họ tái cơ cấu, và lời cảnh báo của họ về giảm 40% doanh thu trong ba tháng đầu năm đã khiến nhà đầu tư lo lắng. Mặc dù giá cổ phiếu Intel đã hồi phục 22% giá trị kể từ đầu năm, tuy nhiên so với hai năm trước, giá cổ phiếu chỉ còn một nửa. Chỉ số Philadelphia, con số mô tả sức khỏe của ngành bán dẫn cũng chỉ giảm có 2%.

INTEL- HẬU QUẢ CỦA SỰ TỰ MÃN

  Giữa trung tâm của các vấn đề, điều quan trọng nhất mà Intel đang phải đối mặt là sự lựa chọn sai lầm được đề cập trong phần đầu của bài viết. Trong suốt nửa thế kỷ kể từ khi nhà sáng lập Gordon Moore đưa ra dự đoán lịch sử, được coi là "định luật" vào năm 1965, Intel đã giữ được ưu thế tiên phong trước các đối thủ của mình lên đến 2 năm. Tuy nhiên, từ năm 2014, mọi thứ bắt đầu trở nên tồi tệ. Dự án "rút gọn" chip xử lý có độ dày chỉ 10nm trở thành một dự án tốn thời gian và kinh phí lớn, vì các kỹ sư của Intel phải tìm cách vượt qua các rào cản mà họ không sử dụng kỹ thuật và thiết bị quang học EUV. Mỗi năm, Intel đưa ra sản phẩm chip "14nm+++" vì tiến trình tiếp theo của họ, 7nm, hay còn được gọi là Intel 4, được giới thiệu chậm hơn kế hoạch 5 năm. Điều đó còn đối với trường hợp Intel có thể đáp ứng được mục tiêu sản xuất thương mại chip Intel 4 vào nửa cuối năm nay.

intel-danh-mat-ngoi-vuong-trong-vong-10-nam-chi-voi-mot-quyet-dinh-sai-lam(02)

  Chỉ trong vòng chưa đầy 10 năm, Intel đã từ vị trí dẫn đầu ngành công nghệ ít nhất 1 thế hệ tiến trình, trở thành tên tuổi đứng sau các đối thủ khác cả 1 thế hệ tiến trình. TSMC đã bắt đầu sản xuất chip trên tiến trình N5 từ năm 2020 và điều này đã dẫn đến sự cạnh tranh trực tiếp với Intel bởi những sản phẩm thương mại từ AMD và Nvidia, những công ty không có fab, phải thiết kế chip và sau đó gia công tại TSMC.

  Bà Kelleher, người từng là giám đốc mảng sản xuất của Intel cho rằng, để đưa Intel quay trở lại đúng đường đi, cần một quá trình thay đổi toàn bộ văn hóa tập đoàn. Điều này bao gồm đối mặt với tư duy tự mãn trong quá trình nghiên cứu và phát triển sản phẩm. Bà cho rằng, vì Intel từng dẫn đầu ngành, nên họ không có lối suy nghĩ mở như phần còn lại của ngành và giờ đây, Intel cần phải thay đổi để không phải tự tạo ra mọi thứ nữa.

Để giúp Intel giảm sự phụ thuộc vào những cải tiến quá lớn, dẫn đến việc chậm trễ trong quá trình phát triển, bà Kelleher đưa ra một cách tiếp cận khác. Các kỹ sư tại Intel có thể ứng dụng các công nghệ thiết kế tự động được phát triển bởi các đơn vị ngoài Intel để cập nhật quy trình nghiên cứu của mình giống như các công ty khác trong ngành.

intel-danh-mat-ngoi-vuong-trong-vong-10-nam-chi-voi-mot-quyet-dinh-sai-lam(04)

  Một trong những thay đổi lớn nhất của Intel hiện nay là việc sử dụng các giải pháp mới như PowerVia để thiết kế các thế hệ CPU tương lai. PowerVia là một giải pháp cấp nguồn điện cho transistor từ mặt dưới tấm wafer để tạo không gian cho các transistor xử lý logic ở mặt trên. Điều này sẽ giúp mở rộng không gian cho các transistor xử lý logic và thiết kế được sử dụng cho các thế hệ CPU ra mắt trong tương lai. Hiện nay, giải pháp này đang được thử nghiệm trên phần cứng thế hệ hiện tại.

  Intel đang triển khai kiến trúc transistor mới nhất của họ là GAA - Gate all around, là một trong những canh bạc tiếp theo của họ. Thiết kế này giúp giảm độ rò rỉ điện năng khi kích thước, khoảng cách và mật độ transistor trên mỗi chip thu nhỏ xuống đơn vị nhỏ hơn nano mét. Bà Kelleher đang được kỳ vọng sẽ tạo ra bước chuyển đột phá, trong vòng 4 năm giúp Intel trải qua 5 tiến trình khác nhau để giành lại vị trí dẫn đầu ngành bán dẫn vào năm 2025. Hiện tại, một trong số 5 tiến trình đã hoàn thành và có sản phẩm thương mại.

NỖ LỰC TÌM LẠI VỊ TRÍ NGÔI VƯƠNG

  Để đáp ứng nhu cầu của các thiết kế và tiến trình gia công chip mới, Intel đã tiết lộ kế hoạch xây dựng một loạt fab mới với quy mô lớn, nhằm thu hút các nhà đầu tư đầu tư vào các dự án này. Hiện tại, Intel đang lên kế hoạch xây dựng hai fab tại Phoenix, Arizona và hai fab tại Ohio, cùng với một trung tâm nghiên cứu và sản xuất lớn trị giá 17 tỷ Euro ở Đức. Khoản đầu tư này sẽ khiến trung tâm nghiên cứu và sản xuất của Intel tại Đức trở thành khoản đầu tư lớn nhất của Mỹ vào một quốc gia châu Âu kể từ sau Thế chiến thứ hai.

  Việc xây dựng các fab này đã tốn cho Intel khoảng 60 tỷ USD. Chính phủ Đức đang cố gắng thúc đẩy Intel mở rộng quy mô dự án của họ tại Đức để đổi lại những hỗ trợ to lớn mà Intel đang yêu cầu.

intel-danh-mat-ngoi-vuong-trong-vong-10-nam-chi-voi-mot-quyet-dinh-sai-lam(06)

  Tuy nhiên, việc tập trung hỗ trợ của chính phủ cho một công ty duy nhất không phải là cách nhanh nhất để Mỹ trở lại vị trí dẫn đầu trong lĩnh vực bán dẫn. Theo báo cáo của CSET hai năm trước, khoảng 55% tổng số chip xử lý được sử dụng tại Mỹ đều được sản xuất tại các fab của TSMC, trong khi Intel chỉ chiếm 25% tổng sản lượng. Phần còn lại đến từ các fab của Samsung Foundry.

  Theo CSET, cách tốt nhất để phân phối tiền hỗ trợ từ Đạo luật CHIPS là phân bổ chúng đúng tỷ lệ với sản lượng chip mà một công ty tạo ra. Nếu theo cách này, Intel chỉ nhận được một nửa số tiền họ mong muốn từ chính phủ Mỹ. Tuy nhiên, Intel vẫn sẵn sàng xây dựng những fab và trung tâm nghiên cứu mới để thu hút được nhiều khoản hỗ trợ hơn từ chính phủ Mỹ.

  Năm ngoái, TSMC đã mở rộng kế hoạch xây dựng fab gia công chip xử lý ở Arizona. Nhưng theo nhiều phân tích, sản lượng chip gia công tại đây chỉ là rất nhỏ so với lượng chip tạo ra hàng ngày ở những fab của TSMC đặt tại Đài Loan.

  Vì vậy, Intel vẫn là một trong những công ty dẫn đầu ngành công nghệ bán dẫn của Mỹ. Mục tiêu chính của Bộ Thương mại Mỹ là tăng sản lượng chip gia công trong nước để củng cố kinh tế và an ninh quốc gia. Do đó, Intel trở thành trung tâm của kế hoạch này.

  Tuy nhiên, vấn đề hiện nay là ngay cả khi tốc độ phát triển tiến trình gia công và xây dựng fab của Intel đáp ứng đúng kế hoạch, thì vẫn chưa có gì đảm bảo rằng IFS sẽ có đủ khách hàng để vận hành những fab khổng lồ mà họ đang xây dựng có lợi nhuận.

  Sau đại dịch, doanh số máy tính cá nhân của Intel đã giảm đáng kể. Nhiều nhà phân tích trên Wall Street đồng ý rằng, các dự báo phục hồi thị trường PC của Intel không phản ánh đúng tình hình thực tế. Năm 2020, Apple đã bắt đầu phát triển chip xử lý riêng cho máy tính Mac, không còn làm việc với Intel. Trong khi đó, AMD tận dụng lợi thế dẫn đầu của TSMC để chiếm khoảng 35% thị phần máy tính cá nhân.

  Trước đây, Intel là thương hiệu được liên kết mật thiết với PC. Nhưng hiện nay, thị phần của họ đã giảm mất 30%. Ngay cả những khách hàng trung thành nhất của họ cũng đang xem xét các lựa chọn khác. Michael Dell, CEO của Dell Technologies, cho biết: “Tôi nghĩ thành công của Intel là điều quan trọng, họ luôn là một đối tác tuyệt vời. Nhưng nếu họ không thành công, chúng tôi sẽ chuyển sang sử dụng thứ gì đó khác. Cạnh tranh luôn là điều tốt.”

intel-danh-mat-ngoi-vuong-trong-vong-10-nam-chi-voi-mot-quyet-dinh-sai-lam(07)

  Mảng chip Xeon của Intel cũng gặp khó khăn ở thị trường máy chủ. Cả Google lẫn Amazon đang tự phát triển chip để phục vụ hệ thống điện toán đám mây của riêng mình. Ngoài ra, mảng chip trí tuệ nhân tạo cũng đang phát triển mạnh mẽ, điều này chắc chắn sẽ giúp Nvidia giành thế thượng phong. Vào thời điểm hiện tại, giá trị vốn hóa của Nvidia đã tăng 360 tỷ USD trong năm nay, đạt hơn 700 tỷ USD, còn giá cổ phiếu tăng 90%. Con số 360 tỷ USD này tương đương với 2,5 lần giá trị vốn hóa toàn bộ của Intel.

  Trong mặt khác, tất cả mong đợi rằng Intel sẽ quay trở lại vị trí đứng đầu của họ. Giám đốc điều hành mảng chip xử lý di động của Qualcomm, Cristiano Amon đã cho biết nếu Intel thành công, điều đó sẽ mang lại lợi ích cho nước Mỹ vì Qualcomm sẽ có thêm một đối tác để gia công chip xử lý. Tuy nhiên, để trở thành đối thủ cạnh tranh với TSMC, Intel sẽ phải học hỏi rất nhiều điều mới, bao gồm cả việc thuyết phục khách hàng lựa chọn IFS, đồng thời cam kết không đặt lợi ích của họ lên trên hết nếu có khan hiếm chip xảy ra. Điều này còn chưa kể đến việc cạnh tranh với đối thủ hiệu quả, chi phí vận hành thấp hơn và không phải đối mặt với những giới hạn về chi phí hoạt động trên đất Mỹ. Intel đã tuyên bố rằng kết quả kinh doanh của IFS sẽ được công bố độc lập với mảng phát triển sản phẩm thương mại, dù khách hàng hiện tại của IFS chỉ là Intel. Tất nhiên, các đối thủ mạnh nhất trong ngành công nghệ vẫn còn vài năm trước khi chọn Intel.

  Với những nhà hoạch định chính sách tại Mỹ, việc giảm phụ thuộc vào chip bán dẫn nhập khẩu đang là mục tiêu rất cấp bách, và kế hoạch trở lại cuộc chơi của Intel sẽ mất rất nhiều thời gian. Theo giáo sư Willy Shih, giảng viên trường Harvard Business School, "Intel đã mất 10 năm để đánh rơi vị thế dẫn đầu và trao lại cho đối thủ khác, trong khi Mỹ đã từ bỏ nỗ lực giữ vị trí dẫn đầu trong ngành sản xuất bán dẫn suốt 3 thập kỷ qua. Đây là cuộc chơi đường dài và có lẽ sẽ còn kéo dài đến cả kỳ bầu cử tới."

Xem thêm:

Công ty Cổ phần Vật tư và Thiết bị văn phòng CDC

Trụ sở chính: C18, Lô 9, KĐTM. Định Công, P. Định Công, Q. Hoàng Mai, TP. Hà Nội

Hotline 1: 0983.366.022 (Hà Nội)

CN.HCM: 51/1 Giải Phóng, Phường 4, Quận Tân Bình, TP Hồ Chí Minh

Hotline 2: 0904.672.691 (TP.HCM)

Website: maytinhcdc.vn

Facebookhttps://www.facebook.com/maytinhcdc.vn/

Nhận xét

Tin tức sản phẩm

ASROCK BLAZING QUAD M.2 CARD

Hướng dẫn sử dụng máy in laser với 3 bước đơn giản

Điểm danh 10 laptop dành cho sinh viên 2023 [Giá rẻ]

Máy photocopy Ricoh - Lựa chọn hàng đầu cho doanh nghiệp

Những cách kiểm tra cấu hình laptop chuẩn xác nhất hiện nay

Sự khác biệt giữa máy tính đồng bộ và máy tính lắp ráp

Vì sao dung lượng SSD hiển thị trên máy tính thấp hơn thực tế?

So sánh giữa VPS (máy chủ ảo) và Cloud Server (máy chủ đám mây)

Nên mua máy in nào hiện nay: Canon, HP, Brother hay Ricoh?

ChatGPT đã có bản nâng cấp, giải quyết AI mất kiểm soát ở tháng 2/2023